/*************************************************** ** @author STF 521 ** @version 0.0.1 ** @purpose 1:16.1.2, Ensure that the IUT recognizes predefined functions and correctly evaluates them (as specified by Annex C) ** @verdict pass accept, ttcn3verdict:pass ***************************************************/ // The following requirement is tested: // Ensure that integer value of an enum handled correctly module Sem_160102_predefined_functions_093 { type component GeneralComp { } type enumerated EDays { Monday, Tuesday, Wednesday, Thursday, Friday(3..5) }; testcase TC_Sem_160102_predefined_functions_093() runs on GeneralComp { var EDays v_enum := Thursday; int2enum(4,v_enum); // new value for v_enum is Friday(4) if (match(enum2int(v_enum),4) and match(v_enum,Friday(4))) { setverdict(pass); } else { setverdict(fail); } } control { execute(TC_Sem_160102_predefined_functions_093()); } }